Khaled Magdy
Khaled Magdy
  • Видео 141
  • Просмотров 1 092 271
Simple Boost-Converter SMPS | ESM
Embedded Systems Minutes - ESM
[ Episode Title ]
Simple Boost-Converter SMPS
(PWM Auto Shut-Down Design Idea)
===================================
For More Information
Visit my website @ DeepBlueMbedded.com
[[ And / Or ]]
Contact me via socials
LinkedIn www.linkedin.com/in/khaled-magdy-/
FaceBook khaled.elrawy.359
===================================
If you've any questions, feel free to leave a comment down below.
I will always be glad to respond to your comments as soon as possible.
Good Luck ^^
And don't forget to SUBSCRIBE for more tutorials from DeepBlue!
If you find this content useful .. Then Share It With Your Network!
Please, consider supporting this work on Patreon. If you'd like too,...
Просмотров: 3 361

Видео

F-V Converter Module v1.0 | Project
Просмотров 2,8 тыс.4 года назад
Embedded Systems & Electronics Projects [ Project Title ] F-V Converter Module v1.0 [ Downloadable Attachments ] drive.google.com/file/d/1_NqjYIRHdPjYdmc78pGOC3dbxo6qcee7/view?usp=sharing [ Link To The Article ] n/a You Can Support The Channel On Patreon! www.patreon.com/deep_blue For More Information Visit my website @ DeepBlueMbedded.com [[ And / Or ]] Follow me on socials LinkedIn www.linked...
Nucleo32-L432KC ARM Cortex-M4 uC Dev. Board | MailBag #3
Просмотров 4 тыс.4 года назад
MailBag#3 Unboxing & Review For Nucleo32-L432KC Development Board (With a getting started guide) Support My Work On Patreon, It's totally appreciated and it helps me bring some interesting projects to life! www.patreon.com/deep_blue For More Information Visit my website @ DeepBlueMbedded.com And / Or Follow me on socials LinkedIn www.linkedin.com/in/khaled-magdy-/ FaceBook khaled.e...
PWM Auto Shut-Down | ESM
Просмотров 1,2 тыс.4 года назад
Embedded Systems Minutes - ESM [ Episode Title ] PWM Auto-ShutDown For More Information Visit my website @ DeepBlueMbedded.com [[ And / Or ]] Contact me via socials LinkedIn www.linkedin.com/in/khaled-magdy-/ FaceBook khaled.elrawy.359 If you've any questions, feel free to leave a comment down below. I will always be glad to respond to your comments as soon as possible. Good Luck ^...
I2C LABs (LAB1-2)
Просмотров 6 тыс.4 года назад
I2C Communication LABs (LAB 1 - 2) كورس مقدمة لتعلم برمجة الانظمة المدمجة و المكيروكنترولر Embedded Systems Tutorials - Microchip PIC Microcontrollers - MPLAB X [ Tutorial #54 ] - [ درس رقم 54 ] I2C Tutorial (Full Article) deepbluembedded.com/i2c-communication-protocol-tutorial-pic/ يمكنك ايضا الرجوع الى الموقع الخاص بالقناة لقراءة المحتوى المكتوب و لتحميل اى ملحقات اخري خاصة بهذه الدروس You ca...
Capacitive Touch Slider Design Idea | ESM
Просмотров 1,3 тыс.4 года назад
Embedded Systems Minutes - ESM [ Episode Title ] Capacitive Touch Slider Desing Idea For More Information Visit my website @ DeepBlueMbedded.com [[ And / Or ]] Follow me on socials LinkedIn www.linkedin.com/in/khaled-magdy-/ Twitter II_DeepBlue_II FaceBook khaled.elrawy.359 If you've any questions, feel free to leave a comment down below. I will always be glad to respon...
Moving Average FIR Digital Filter | ESM
Просмотров 1,2 тыс.4 года назад
Embedded Systems Minutes - ESM [ Episode Title ] Moving Average FIR Digital Filter [ Downloads ] Code Simulation PDF Document drive.google.com/file/d/1qxB0aqkIu7k3K50jjs1zZ-gl8KdQn0UM/view?usp=sharing For More Information Visit my website @ DeepBlueMbedded.com [[ And / Or ]] Follow me on socials LinkedIn www.linkedin.com/in/khaled-magdy-/ Twitter II_DeepBlue_II FaceBook facebook.com...
Q&A 3
Просмотров 9424 года назад
Q & A - 3 - Answers To Last Episode Comments & Questions - Answers To Many, Not All, FaceBook Questions - Answers To Most OF The Channel Comments For The Past Couple OF Months
Servo Motor Control PART-3 | التحكم فى محرك سيرفو موتور
Просмотров 1,8 тыс.4 года назад
Servo Motor Control PART-3 (Demo & LABs) التحكم فى محركات السيرفو موتور كورس مقدمة لتعلم برمجة الانظمة المدمجة و المكيروكنترولر Embedded Systems & Microcontrollers Interfacing Course - Edition3 Servo Motor Tutorial PT3 (Full Article) deepbluembedded.com/servo-motor-control-with-pic-microcontroller-16bit-pwm-pt3/ High-Resolution PWM | ESM ruclips.net/video/jczD3fCTOic/видео.html Timer Preloading...
Servo Motor Control PART-2 | التحكم فى محرك سيرفو موتور
Просмотров 1,7 тыс.4 года назад
Servo Motor Control PART-2 (Demo & LABs) التحكم فى محركات السيرفو موتور كورس مقدمة لتعلم برمجة الانظمة المدمجة و المكيروكنترولر Embedded Systems & Microcontrollers Interfacing Course - Edition3 Servo Motor Tutorial PT2 (Full Article) deepbluembedded.com/servo-motor-control-with-pic-microcontroller-software-pwm-pt2/ Software PWM | ESM ruclips.net/video/F93YT_JKD3w/видео.html Software PWM Techniq...
Servo Motor Control PART-1 | التحكم فى محرك سيرفو موتور
Просмотров 4,8 тыс.4 года назад
Servo Motor Control PART-1 (Demo & LABs) التحكم فى محركات السيرفو موتور كورس مقدمة لتعلم برمجة الانظمة المدمجة و المكيروكنترولر Embedded Systems & Microcontrollers Interfacing Course - Edition3 Servo Motor Tutorial PT1 (Full Article) deepbluembedded.com/servo-motor-control-with-pic-microcontrollers-pwm-pt1/ يمكنك ايضا الرجوع الى الموقع الخاص بالقناة لقراءة المحتوى المكتوب و لتحميل اى ملحقات اخر...
CapTouch Keypad v1.0 | Projects
Просмотров 2,7 тыс.4 года назад
Embedded Systems & Electronics Projects [ Project Title ] CapTouch Keypad v1.0 [ Link To The Article ] n/a You Can Support The Channel On Patreon! www.patreon.com/deep_blue For More Information Visit my website @ DeepBlueMbedded.com [[ And / Or ]] Follow me on socials LinkedIn www.linkedin.com/in/khaled-magdy-/ Twitter II_DeepBlue_II FaceBook khaled.elrawy.359 If you've...
Persistence OF Vision (POV) | ESM
Просмотров 1,5 тыс.4 года назад
Embedded Systems Minutes - ESM [ Episode Title ] Persistence OF Vision (POV) Link To The Article deepbluembedded.com/multiplexing-7-segment-displays-3-digit-7-segment-interfacing-with-pic-microcontrollers/ Photo Credit | www.pinterest.com/pin/388505905328744882/?lp=true For More Information Visit my website @ DeepBlueMbedded.com [[ And / Or ]] Follow me on socials LinkedIn www.linkedin.com/in/k...
High-Resolution PWM Technique | ESM
Просмотров 1,1 тыс.4 года назад
Embedded Systems Minutes - ESM [ Episode Title ] High-Resolution PWM Technique (Output Compare Timer Module = PWM) Link To The Article deepbluembedded.com/high-resolution-pwm-using-ccp-compare-and-timer-module/ [ Download Attachments Folder ] Episode Attachments | drive.google.com/file/d/1YXd17UGHD_Pu-NiGtXR29-asVvvd7Gpt/view?usp=sharing For More Information Visit my website @ DeepBlueMbedded.c...
I2C Tutorial (Demo)
Просмотров 6 тыс.4 года назад
I2C Communication Tutorial (Full Demo) كورس مقدمة لتعلم برمجة الانظمة المدمجة و المكيروكنترولر Embedded Systems Tutorials - Microchip PIC Microcontrollers - MPLAB X [ Tutorial #53 ] - [ درس رقم 53 ] I2C Tutorial (Full Article) deepbluembedded.com/i2c-communication-protocol-tutorial-pic/ يمكنك ايضا الرجوع الى الموقع الخاص بالقناة لقراءة المحتوى المكتوب و لتحميل اى ملحقات اخري خاصة بهذه الدروس Yo...
Intro To I2C Demo & LABs
Просмотров 3,2 тыс.4 года назад
Intro To I2C Demo & LABs
Simple LM386 Audio Power Amplifier - دائرة مكبر صوت بسيطة | ECD
Просмотров 4,7 тыс.4 года назад
Simple LM386 Audio Power Amplifier - دائرة مكبر صوت بسيطة | ECD
Bypassing / Decoupling Capacitors | EIP
Просмотров 1,3 тыс.4 года назад
Bypassing / Decoupling Capacitors | EIP
Measurements In Embedded Systems | القياسات فى الأنظمة المدمجة
Просмотров 4,2 тыс.4 года назад
Measurements In Embedded Systems | القياسات فى الأنظمة المدمجة
Key Actions & Scanning | ESM
Просмотров 9484 года назад
Key Actions & Scanning | ESM
Q&A 2
Просмотров 1 тыс.4 года назад
Q&A 2
Q&A 1 | الرد على بعض اسئلتكم بخصوص الكورس
Просмотров 2,5 тыс.4 года назад
Q&A 1 | الرد على بعض اسئلتكم بخصوص الكورس
Basic Capacitive Touch Sensing - CVD #3 | ESM
Просмотров 9884 года назад
Basic Capacitive Touch Sensing - CVD #3 | ESM
Basic Capacitive Touch Sensing - Comp. #2 | ESM
Просмотров 5194 года назад
Basic Capacitive Touch Sensing - Comp. #2 | ESM
Basic Capacitive Touch Sensing - GPIOs #1 | ESM
Просмотров 8204 года назад
Basic Capacitive Touch Sensing - GPIOs #1 | ESM
Capacitive Touch Sensing Technology | ESM
Просмотров 9674 года назад
Capacitive Touch Sensing Technology | ESM
MPLAB SNAP In-Circuit Debugger | MailBag #2
Просмотров 2,7 тыс.4 года назад
MPLAB SNAP In-Circuit Debugger | MailBag #2
DDS Function Generator FY6900 | MailBag #1
Просмотров 2 тыс.4 года назад
DDS Function Generator FY6900 | MailBag #1
Convert PWM To DAC | ESM
Просмотров 9364 года назад
Convert PWM To DAC | ESM
Measuring Capacitance - Comparator#2 | ESM
Просмотров 5414 года назад
Measuring Capacitance - Comparator#2 | ESM

Комментарии

  • @ZeroOne01012
    @ZeroOne01012 4 дня назад

    بارك الله فيك وجزاك الله خيرا ونفع بعلمك ورعاك وحفظك ورزقك من حيث لاتحتسب

  • @mixiraqi8511
    @mixiraqi8511 10 дней назад

    السلام عليكم اخي العزيز محتاج ادخل الصوت من منفذ sd card على منفذ analog حتى اعرف شدة الصوت وبناءا على شدة الصوت اخرج اوت معين لقيت mp3 dfplayer module يحتوي على pin DAC هل ينفع استخدم هذا ام لازم استخدم spectrum analyzer

  • @ahmedtaha2092
    @ahmedtaha2092 14 дней назад

    واصلوا في انتاج فيديوهات و دورات انت و وليد عيسى الف الف الف شكر

  • @ahmedtaha2092
    @ahmedtaha2092 14 дней назад

    الله يوفقك انت و وليد عيسى

  • @alrad7534
    @alrad7534 18 дней назад

    السلام عليكم محتاج رقمك وتساب

  • @abdelrahmannabil3013
    @abdelrahmannabil3013 Месяц назад

    السلام عليكم شكرا على مجهودك الرائع .انا عندى مشكلة فى simulation انى الكود مش بيشتغل و مش بيحصل interrupt رغم انى عملت build و مفيش اى error ايه الحل او ايه . #include <xc.h> #include"config.h" unsigned char counter=0; void main(void) { TRISB0=0; RB0=0; TMR1IE=1; PEIE=1; GIE=1; TMR1=0; TMR1CS=0; T1CKPS0=0; T1CKPS1=0; TMR1ON=1; while(1) { } return; } void __interrupt() ISR(void) { RB0=1; if(TMR1IE) { counter++; if(counter==15) { RB0=~RB0; counter=0; } TMR1IE=0; } }

  • @abdotetohd9786
    @abdotetohd9786 Месяц назад

    انا حضرتك محتاج المحاضرات دي ضروري ممكن تنزلها بعد اذنك

  • @rahmaabdelraouf
    @rahmaabdelraouf Месяц назад

    ياريت حضرتك ترجع تعمل فيديوهات تاني علي القناة ......وجزاك الله خير علي كل اللي حضرتك قدمته في القناة دي

  • @osamanazzal-bz7ud
    @osamanazzal-bz7ud Месяц назад

    ماشاء الله شرح جدا سلس وسهل ياريت لو تعملنا شروحات على أنواع تانية من المتحكمات والمعالجات متل stm32

  • @comedymood9529
    @comedymood9529 Месяц назад

    جزاك الله كل خير.الشرح جميل والرسومات التي تضعها والالوان والتلخيص اكثر من رائع.اتمنى ان تضع لنا ملف pdf للرسومات والشرح الذي تضعه جانبا على الورق نظرا للظروف الصعبة التي نعيشها وجزاك الله كل خير ❤❤

  • @zorozoro1655
    @zorozoro1655 Месяц назад

    حد يعرف خالد مجدى فين دلوقتى

  • @Anandkumar-jh1pg
    @Anandkumar-jh1pg Месяц назад

    I am your student , I dont know arabic . Can you upload the video in English

  • @Anandkumar-jh1pg
    @Anandkumar-jh1pg Месяц назад

    Hi Khaled can you upload the videos in English.

    • @DeepBlueMbedded
      @DeepBlueMbedded Месяц назад

      Hi Anand, I've a pretty long video for pic microcontrollers in English on my other RUclips channel, you can check it out.

  • @shakirabdo638
    @shakirabdo638 Месяц назад

    الواحد حزين انه تعرّف على قناتك في وقت متأخر .. اشتريت كورس تبعك في يوديمي، وبعدها بحثت عنك، الكورس ممتاز جداً 🔥. جزاك الله خيراً، ربنا يوفقك ويزيدك علم ❤

  • @mvardgames7909
    @mvardgames7909 2 месяца назад

    üniversitedeki doktorlardan çok daha iyi anlatıyorsun. harika!!

  • @krimokooko8487
    @krimokooko8487 2 месяца назад

    ليش موقع الويب بالإنجليزي ؟؟

  • @ouassimdebbakh
    @ouassimdebbakh 2 месяца назад

    جيت من قناة وليد عيسى

  • @SaidsaidSaidsaid-nc3ne
    @SaidsaidSaidsaid-nc3ne 2 месяца назад

    سلام عليك أنا طبقت عملي لكن عندي Pic 18f458 و Pic 16f628a احاول تطبيق عليهم

  • @user-vl2sj4vg2h
    @user-vl2sj4vg2h 3 месяца назад

    ماشاء الله تبارك الله ربنا يجزيك خيرا

  • @heaven5_5
    @heaven5_5 3 месяца назад

    جزاك الله خيرا كثيرا شكرا ع شرح جزئية justification

  • @jeffersonromero7144
    @jeffersonromero7144 3 месяца назад

    It uses a capacitor of how many microfarads?

  • @reguiegnafissa9493
    @reguiegnafissa9493 3 месяца назад

    جزاك الله خيرا🙏🙏

  • @rayanfaraji9191
    @rayanfaraji9191 4 месяца назад

    شرح احترافي اخ مجدي عندي مشكل ان اكواد المكتوبة على MBLAP لا تشتغل على MIKROC خصوصا اعدادات TIMER .مثلا لا يقبل TMR1 = 0

  • @user-jq4gt8zy8g
    @user-jq4gt8zy8g 4 месяца назад

    مشكور جدا يا اخي ❤❤

  • @shaaalroo8103
    @shaaalroo8103 4 месяца назад

    سبحان الله الناجح الذكي ....ترى قوقل وجماعته يحذفون التعليقات وكل شي عنه.....وانت ماشاء الله عالم متمكن

  • @SamerRabea-xv8gr
    @SamerRabea-xv8gr 4 месяца назад

    عمل رائع

  • @loldaily4241
    @loldaily4241 5 месяцев назад

    nice Job

  • @HamiAhmed-fd9ru
    @HamiAhmed-fd9ru 5 месяцев назад

    السلام عليكم عندي حساس LM 35بقراء بالعكس أي الحل

  • @sarahany3955
    @sarahany3955 5 месяцев назад

    جميل جدااااااااااااااااا

  • @sarahany3955
    @sarahany3955 5 месяцев назад

    تحفة

  • @mohamedkhaled-zt6un
    @mohamedkhaled-zt6un 5 месяцев назад

    وانا بعمل set configuration بيقولي كده // #pragma config statements should precede project file includes. // Use project enums instead of #define for ON and OFF

  • @user-cw1ue2rz1y
    @user-cw1ue2rz1y 5 месяцев назад

    يابشمهندس حضرتك وقفت نشر محتوى ليه؟ وياريت لو تنزل كورس C

  • @thewolf9023
    @thewolf9023 5 месяцев назад

    المحتوى كويس جدا بس حضرتك بتتكلم انجليزي كتير اوي وفي حجات مش فهمها اوي من الكلام ممكن

  • @aboubakergb5929
    @aboubakergb5929 6 месяцев назад

    بارك الله فيك

  • @sele.7358
    @sele.7358 6 месяцев назад

    يعطيك العافية جهد مشكور.. بس سؤال بسيط في شي مش فاهمه الي هو بالرسمة كان في بطارية 12 volt للموتور مشبوكة بال common بس لما عملت prototyping بس شبكت السلكين الي لونهم أحمر من الموتور لل common يعني في بطارية داخلية قيمتها 12 volt جوا الموتور ؟؟ وإذا اه كيف ممكن تنشحن البطارية إذا فضيت ؟

  • @user-mi1wr8rn6k
    @user-mi1wr8rn6k 6 месяцев назад

    ممكن لو سمحت لينك التنزيل

  • @amsndd6379
    @amsndd6379 6 месяцев назад

    What is this app?

  • @LiftTurk
    @LiftTurk 7 месяцев назад

    مشاء الله شرح جميل جدا بارك الله فيك ممكن رقم حضرتك

  • @Habiba477
    @Habiba477 7 месяцев назад

    ربنا يكرمك يا رب

  • @user-gj9my8lj2l
    @user-gj9my8lj2l 7 месяцев назад

    شرح ممتاز شكرا

  • @self-denial157
    @self-denial157 7 месяцев назад

    روعة

  • @salamhasson7432
    @salamhasson7432 7 месяцев назад

    جزاك الله كل خير اخ خالد وبارك الله بك على هذا الشرح الوافي والراقي وهذا دليل على رقيك 👍🙏 سؤال هل يمكن استخدام pic16f628a وشكرًا جزيلا لك مرة ثانية اتمنى ان تستمر بهذة المحاضرات وجعلها الله في ميزان حسناتك

  • @f.khalil9338
    @f.khalil9338 7 месяцев назад

    مشكور للمجهود المبزول ، ممكن شرح لبروتكول can Bus تطبيق عملي

  • @majdoleenm-jarah1221
    @majdoleenm-jarah1221 7 месяцев назад

    اداء روعة ومشجع انا محظوظة اني لقيت هذه القناة شكرا جدا

  • @majdoleenm-jarah1221
    @majdoleenm-jarah1221 7 месяцев назад

    مقدمة الكورس جدا محفزة 👍👍👍

  • @user-wp8kb3qk4v
    @user-wp8kb3qk4v 7 месяцев назад

    الله يجزيك كل الخير

  • @eslamashraf4080
    @eslamashraf4080 7 месяцев назад

    محتاج الورق ده بعد ازنك

  • @user-ce5yr7sy2k
    @user-ce5yr7sy2k 8 месяцев назад

    السلام عليكم انا عندي مشروع مع مايكرو كنترول وابغا اعرف اذا اقدر اخلي المايكروكنترلر يطلع الصوت كأنه كلام مثلاً ادخلت عليه كلام ابيه يطلعه كلام وليس صوت فقط مع التحويل بين الانالوج والدجتل